24h購物| | PChome| 登入
亂糟糟筆記 文章數:27
數學與計算機100502205 資工三B 楊翔雲  課堂中講到計算機的歷史,以及 Ada 的相關事蹟,先不論計算機方面的運作,G... (詳全文)
發表時間:2013-12-02 09:19:52 | 回應:0
這學期沒修演算法,順道複習一下同學的作業。[演算法B] Homework3: (你必須至少完成二個題目,若完成二個以上的題目則... (詳全文)
發表時間:2013-10-05 16:50:53 | 回應:0
union 這個結構中的所有位址都指向相同記憶體。想像組合語言的 reverse order 的儲存方式,一個 byte(8bits)的倒序儲存... (詳全文)
發表時間:2013-04-02 20:01:51 | 回應:0
Notepad++ 沒有內建 MIPS 語言的highligh,因此我們要自己利用user define新增,不過已經有人給現成的,那我們可以直接... (詳全文)
發表時間:2013-03-22 18:13:31 | 回應:0
以下定義 function鍵 super1. 窗口拖移與最大化、最小化:Ctrl + super + 方向鍵2. 工作區切換 super + s3. 工作區瀏覽... (詳全文)
發表時間:2013-01-06 09:56:12 | 回應:0
#define 說實在打 ACM 題目是只有常數還蠻常使用的,而在線上賽 code force, top coder ... 等,將會看到堆積如山的 #... (詳全文)
發表時間:2012-12-31 10:36:20 | 回應:0
D1.png除頻器library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity divider is port... (詳全文)
發表時間:2012-12-30 14:12:49 | 回應:0
RTL viewer.pngJK正反器library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity jkflip... (詳全文)
發表時間:2012-12-30 14:08:13 | 回應:0
半加器library ieee; use ieee.std_logic_1164.all; entity ha is port( a: in std_logic; b: in std_logic; c: out s... (詳全文)
發表時間:2012-11-29 21:15:48 | 回應:0
來源網址 http://hi.baidu.com/paulcross/item/3fc514508ab13e9408be170f方法 I#>cd /etc/X11/app-defaults#>su... (詳全文)
發表時間:2012-11-19 14:39:57 | 回應:0
前言:以C or C++完成 請以Link list來實作一個大小為5的Stack 必須包含Push Pop(含印出) Isfull Isempty功能 一個功能... (詳全文)
發表時間:2012-10-16 21:40:05 | 回應:0
Demo影片: http://youtu.be/0XqR-6YEICU一時興起打的 CODE#include <stdio.h>#include <string.h>#includ... (詳全文)
發表時間:2012-07-01 21:40:28 | 回應:0
以下皆為網路搜出來的, 非常感謝網路, 為應付考試與學習, 只截取部分內容4GL:即第四代語言(Fourth-Generation Langua... (詳全文)
發表時間:2012-05-27 11:22:49 | 回應:0
http://www.cnblogs.com/LitIce/archive/2010/11/11/1875149.html最小圆覆盖。神奇的随机算法。当点以随机的顺序加入时... (詳全文)
發表時間:2012-05-13 21:41:35 | 回應:0
看過日本一個很受歡迎「搶救貧窮大作戰」嗎? 在那個依照案例做不同規劃的單元節目中,總跑不掉幾個公式:貧窮原因的... (詳全文)
發表時間:2012-04-22 11:26:46 | 回應:0
 1 .  2 .      下一頁›      最末頁
第 1 / 2 頁 , 共 27 筆           
TOP